summaryrefslogtreecommitdiffstats
path: root/mpeglib/lib/splay/synth_Down.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'mpeglib/lib/splay/synth_Down.cpp')
-rw-r--r--mpeglib/lib/splay/synth_Down.cpp8
1 files changed, 4 insertions, 4 deletions
diff --git a/mpeglib/lib/splay/synth_Down.cpp b/mpeglib/lib/splay/synth_Down.cpp
index fbe3887b..e5a2f2e0 100644
--- a/mpeglib/lib/splay/synth_Down.cpp
+++ b/mpeglib/lib/splay/synth_Down.cpp
@@ -39,8 +39,8 @@ void Synthesis::computebuffer_Down(REAL *fraction,
inline void Synthesis::generatesingle_Down(void)
{
int i;
- register REAL r, *vp;
- register const REAL *dp;
+ REAL r, *vp;
+ const REAL *dp;
i=32/2;
dp=filter;
@@ -121,8 +121,8 @@ inline void Synthesis::generate_Down(void)
{
int i;
REAL r1,r2;
- register REAL *vp1,*vp2;
- register const REAL *dp;
+ REAL *vp1,*vp2;
+ const REAL *dp;
dp=filter;
vp1=calcbuffer[LS][currentcalcbuffer]+calcbufferoffset;